Your reliable source for surplus semiconductor equipment!
  more... 
SPECIALS LISTINGS About Us Contact Us
Serving  Our Guest Log in    Register to manage deal making
ALL CATEGORIES   View   Search-by-Specs   
View All Listings Under

Wafer Fabrication Equipment


» Switch Major Category
Click an item's ID# below for its full specifications , or:

Group Listings into sub-categories under Wafer Fabrication EquipmentGroup Listings into sub-categories under Wafer Fabrication Equipment

List all 17 product types under Wafer Fabrication EquipmentList all 17 product types under Wafer Fabrication Equipment


  • To sort on a column, click the column head; click it again to reverse the sort.
  • Click the links under the Product Type column head to see other like items of that type.
 Offered (box) or Wanted (coins)  Item ID  Photo Short Description Product Type / Details # Price Notes Location
Make Model
  $  
246604
Applied Materials  

Applied Materials  

CENTURA EPI 

List all items of this typeSingle Chamber RTP Tools

in Wafer Fabrication Equipment

AMAT, CENTURA EPI, 200mm, S/N 21792:

AMAT, CENTURA EPI, 200mm, S/N 21792

1 Inquire Singapore, Singapore
248647
Applied Materials  

Applied Materials  

Centura 

List all items of this typePlasma Processing Equipment and Tools - Other

in Wafer Fabrication Equipment

AMAT, Centura, 200mm, S/N 302958:

AMAT, Centura, 200mm, S/N 302958

Metal Etch. No loadports included.

1 Inquire N* Singapore, Singapore
246375
Applied Materials  

Applied Materials  

CENTURA 

List all items of this typeSingle Chamber RTP Tools

in Wafer Fabrication Equipment

AMAT, Centura, sn: 402970-R3-MAC, 300mm:

AMAT Centura 300mm

1 Inquire East Fishkill, New York, United States
245288
AMAT  

AMAT  

P5000 

List all items of this typeChemical Vapor Deposition Equipment - Other

in Chemical Vapor Deposition Equipment

AMAT, P5000, 200mm, S/N 4862:

AMAT, P5000, 200mm, S/N 4862

1 Inquire Singapore, Singapore
246605
Applied Materials  

Applied Materials  

Ultima CENTURA DCVD 

List all items of this typeChemical Vapor Deposition Equipment - Other

in Chemical Vapor Deposition Equipment

AMAT, Ultima CENTURA DCVD, 200mm, S/N 302959:

AMAT, Ultima CENTURA DCVD, 200mm, S/N 302959

2 chms. 

 

1 Inquire Singapore, Singapore
248206
ASM  

ASM  

ASM3200 

List all items of this typeSingle Chamber RTP Tools

in Wafer Fabrication Equipment

ASM, ASM3200, 300mm, S/N 034120:

ASM, ASM3200, 300mm, S/N 034120

1 Inquire Singapore, Singapore
247608
ASML  

ASML  

XT1900GI 

List all items of this typeDeep UV Wafer Stepper

in Wafer Fabrication Equipment

ASML, XT1900GI, 300mm, S/N 4210:

ASML, XT1900GI, 300mm, S/N 4210

The cymer laser is EOL. 

1 Inquire Singapore, Singapore
243574
Axcelis Technologies  

Axcelis Technologies  

Optima MD 

List all items of this typeIon Implanters

in Wafer Fabrication Equipment

Axcelis, Optima MD, 300mm, s/n: 083011, IMP203:

Axcelis, Optima MD, 300mm, s/n: 083011

Medium Current Implant

1 Inquire Dresden, Saxony, Germany
243575
Axcelis Technologies  

Axcelis Technologies  

Optima MD 

List all items of this typeDeep UV Wafer Stepper

in Wafer Fabrication Equipment

Axcelis, Optima MD, 300mm, s/n: 083015, IMP205:

Axcelis, Optima MD, 300mm, s/n: 083015

Medium Current Implant

1 Inquire Dresden, Saxony, Germany
242618
CFM Technologies  

CFM Technologies  

FullFlow 1/99 8100 

List all items of this typeWafer Cleaners

in Wafer Fabrication Equipment

CFM, FullFlow 1/99 8100, s/n: Cont 1 1188, 200mm, Tool ID: 67R88V:

CFM, FullFlow 1/99 8100, s/n: Cont 1 1188, 200mm, Tool ID: 67R88V

1 Inquire Burlington, Vermont, United States
242619
CFM Technologies  

CFM Technologies  

FullFlow 1/99 8100 

List all items of this typeWafer Cleaners

in Wafer Fabrication Equipment

CFM, FullFlow 1/99 8100, s/n: Cont 2 1188, 200mm, Tool ID: 67R89V:

CFM, FullFlow 1/99 8100, s/n: Cont 2 1188, 200mm, Tool ID: 67R89V

1 Inquire Burlington, Vermont, United States
242203
CFM Technologies  

CFM Technologies  

HP 8050 

List all items of this typeWafer Cleaners

in Wafer Fabrication Equipment

CFM, HP 8050, s/n: 5046, 200mm, Tool ID: 93557X:

CFM, CFM HP 8050, s/n: 5046, 200mm, Tool ID: 93557X

1 Inquire Burlington, Vermont, United States
248648
Gasonics  

Gasonics  

PEP-4800DL 

List all items of this typePlasma Processing Equipment and Tools - Other

in Wafer Fabrication Equipment

Concept Part Solutions, Inc., PEP-4800DL, 200mm, S/N M860260:

Concept Part Solutions, Inc., PEP-4800DL, 200mm, S/N M860260

No loadports included.

1 Inquire N* Singapore, Singapore
248240
Ebara  

Ebara  

F-REX300 

List all items of this typePlasma Processing Equipment and Tools - Other

in Wafer Fabrication Equipment

1 Inquire East Fishkill, New York, United States
246602
Ebara  

Ebara  

EPO-2228 

List all items of this typeWet Process Equipment - Other

in Wafer Fabrication Equipment

EBARA, EPO-2228, 200mm, S/N PTD90457EX:

EBARA, EPO-2228, 200mm, S/N PTD90457EX

Oxide CMP

1 Inquire Singapore, Singapore
239383
ENTEGRIS  

ENTEGRIS  

N/A 

List all items of this typeMaterials Handling Equipment - Other

in Wafer Fabrication Equipment

Entegris, FOUP N2 Purge Station, 300mm:

Entegris, FOUP N2 Purge Station, 300mm

1 Inquire Dresden, Saxony, Germany
244283
FEI  

FEI  

Helios NanoLab 1200HP 

List all items of this typePlasma Processing Equipment and Tools - Other

in Wafer Fabrication Equipment

FEI, Helios NanoLab 1200HP, sn: 9921505, Failure Analysis:

FEI, Helios NanoLab 1200HP, sn: 9921505, Failure Analysis

1 Inquire Malta, New York, United States
245290
FSI  

FSI  

Excalibur ISR 

List all items of this typeWet Process Equipment - Other

in Wafer Fabrication Equipment

FSI, Excalibur ISR 901499-314, 200mm, S/N 0902-0123-1094:

FSI, Excalibur ISR 901499-314, 200mm, S/N 0902-0123-1094

1 Inquire Singapore, Singapore
202834
Kokusai  

Kokusai  

DJ-1236VN-DF 

List all items of this typeVertical LPCVD Furnaces

in Chemical Vapor Deposition Equipment

HITACHI KOKUSAI, DJ-1236VN-DF, 300mm:

HITACHI KOKUSAI, DJ-1236VN-DF, 300mm

1 Inquire Malta, New York, United States
243313
Hitachi Kokusai Elec  

Hitachi Kokusai Elec  

DJ-1236VN-DF 

List all items of this typeVertical LPCVD Furnaces

in Chemical Vapor Deposition Equipment

HITACHI KOKUSAI, DJ-1236VN-DF, 300mm, s/n: DN23300, FVX2488:

HITACHI KOKUSAI, DJ-1236VN-DF, 300mm, FVX2488

Vertical LPCVD Furnace

1 Inquire Malta, New York, United States
204280
Hitachi  

Hitachi  

M-8190XT 

List all items of this typePlasma Processing Equipment and Tools - Other

in Wafer Fabrication Equipment

Hitachi, M-8190XT, 300mm, Plasma Etch:

Hitachi, M-8190XT, 300mm, Plasma Etch

3 Chambers

In Fab, Warm Idle

1 Inquire Malta, New York, United States
243279
LAM  

LAM  

2300 KIYO FX 

List all items of this typeSingle Chamber RTP Tools

in Wafer Fabrication Equipment

LAM, 2300 KIYO FX, 300mm, ETX2300U6:

ETX2300U2-T LAM-E5 KIYO FX

HYDRA ARIA CORVUS-UPGRADE TO REPURPOSE ETX

LAM, 2300 KIYO FX, 300mm, ETX2300U6

SN:

KFXE-482(F1514910) - PM2
KFXE-482(F1514910) - PM3
KFXE-482(F1514910) - PM4

1 Inquire F* Malta, New York, United States
219677
LAM Research Corp.  

LAM Research Corp.  

INOVA 

List all items of this typePlasma Processing Equipment and Tools - Other

in Wafer Fabrication Equipment

LAM, INOVA, 300mm, S/N 007823-0389:

LAM, INOVA, 300mm, S/N 007823-0389

1 Inquire Malta, New York, United States
245286
LAM Research Corp.  

LAM Research Corp.  

Rainbow 4428 

List all items of this typePlasma Processing Equipment and Tools - Other

in Wafer Fabrication Equipment

LAM, Rainbow 4428, 200mm, S/N 3533 :

LAM, Rainbow 4428, 200mm, S/N 3533 

1 Inquire Singapore, Singapore
245285
LAM Research Corp.  

LAM Research Corp.  

TCP9408SE 

List all items of this typePlasma Processing Equipment and Tools - Other

in Wafer Fabrication Equipment

LAM, TCP9408SE, 200mm, S/N 4545:

LAM, TCP9408SE, 200mm, S/N 4545

1 Inquire Singapore, Singapore
219675
LAM Research Corp.  

LAM Research Corp.  

Vector Express 

List all items of this typeChemical Vapor Deposition Equipment - Other

in Chemical Vapor Deposition Equipment

LAM, Vector Express, 300mm, S/N D22437A, Ashable Hard Mask CVD:

LAM, Vector Express, 300mm, S/N D22437A, Ashable Hard Mask CVD

1 Inquire Malta, New York, United States
247204
Mattson Technology  

Mattson Technology  

AST 2800 

List all items of this typeSingle Chamber RTP Tools

in Wafer Fabrication Equipment

Mattson, AST 2800, 200mm, S/N 97060361:

Mattson, AST 2800, 200mm, S/N 97060361

1 Inquire Singapore, Singapore
241157
Mattison  

Mattison  

MILLIOS HVM 

List all items of this typePlasma Processing Equipment and Tools - Other

in Wafer Fabrication Equipment

Mattson, MILLIOS HVM, 300mm, s/n: 11043001, 09130001, 09250001:

Mattson, MILLIOS HVM, 300mm, s/n: 11043001, 09130001, 09250001

1 Inquire Malta, New York, United States
248319
Nikon  

Nikon  

NSR-2205EX14C 

List all items of this typeDeep UV Wafer Stepper

in Wafer Fabrication Equipment

Nikon, NSR-2205EX14C, 200mm, S/N 7573113:

Nikon, NSR-2205EX14C, 200mm, S/N 7573113

1 Inquire Singapore, Singapore
245214
Nikon  

Nikon  

NSR-S208D 

List all items of this typeDeep UV Wafer Stepper

in Wafer Fabrication Equipment

Nikon, NSR-S208D, 300mm, S/N 8732041:

Nikon, NSR-S208D, 300mm, S/N 8732041

1 Inquire Singapore, Singapore
247027
Nikon  

Nikon  

NSR-S208D 

List all items of this typeDeep UV Wafer Stepper

in Wafer Fabrication Equipment

NIKON, NSR-S208D, 300mm, s/n: 8732048:

NIKON, NSR-S208D, 300mm, s/n: 8732048

Lithography Step and repeat scanning system

1 Inquire Dresden, Saxony, Germany
247605
Nikon  

Nikon  

NSR-S208D 

List all items of this typeDeep UV Wafer Stepper

in Wafer Fabrication Equipment

NIKON, NSR-S208D, 300mm, s/n: S62 0290202:

Lithography Step and repeat scanning system

1 Inquire Dresden, Saxony, Germany
239647
Novellus Systems  

Novellus Systems  

INOVA NEXT 

List all items of this typePlasma Processing Equipment and Tools - Other

in Wafer Fabrication Equipment

NOVELLUS, INOVA NEXT, s/n M23321A, 300mm:

NOVELLUS, INOVA NEXT, s/n M23321A, 300mm

1 Inquire Malta, New York, United States
244285
Oxford Instruments  

Oxford Instruments  

OPAL 

List all items of this typePlasma Processing Equipment and Tools - Other

in Wafer Fabrication Equipment

OXFORD INSTRUMENTS, OPAL, sn: 94-220255, RF/Plasma oxide deposition tool:

OXFORD INSTRUMENTS, OPAL, sn: 94-220255, RF/Plasma oxide deposition tool

1 Inquire Malta, New York, United States
204935
Rorze  

Rorze  

RSR160 

List all items of this typeWafer Production Equipment - Other

in Wafer Fabrication Equipment

Rorze, RSR160, Reticle Handler:

Rorze, RSR160, Reticle Handler

 

1 Inquire Malta, New York, United States
240477
SOLVISION  

SOLVISION  

PRECIS 3D 

List all items of this typePlasma Processing Equipment and Tools - Other

in Wafer Fabrication Equipment

SOLVISION, PRECIS 3D, s/n: C1W010150609:

SOLVISION, PRECIS 3D, s/n: C1W010150609

1 Inquire F* Dresden, Saxony, Germany
248241
Suss Tamarack Scient  

Suss Tamarack Scient  

TAMARACK M423 EXCIMER 

List all items of this typeDeep UV Wafer Stepper

in Wafer Fabrication Equipment

1 Inquire East Fishkill, New York, United States
245281
Tel  

Tel  

Lithius Pro i 

List all items of this typeManual Photoresist Coaters

in Wafer Fabrication Equipment

TEL Lithius Pro i, 300mm, s/n: N100463, TRK1372:

TEL Lithius Pro i, 300mm, s/n: N100463, TRK1372

Coater/Developer Tool

1 Inquire Dresden, Saxony, Germany
246930
Tel  

Tel  

Lithius 

List all items of this typeManual Photoresist Coaters

in Wafer Fabrication Equipment

TEL Lithius, sn: G391405 ,300 mm, TRK1420, KrF Litho:

TEL Lithius, sn: G391405 ,300 mm, TRK1420 (ALC1420TRK)

1 Inquire Dresden, Saxony, Germany
241022
Tel  

Tel  

Lithius 

List all items of this typeManual Photoresist Coaters

in Wafer Fabrication Equipment

TEL Lithius, sn: MDG160683, ,300 mm:

Coat only Tool

1 Inquire Dresden, Saxony, Germany
248321
Tokyo Electron Ltd  

Tokyo Electron Ltd  

ACT8 

List all items of this typeManual Photoresist Coaters

in Wafer Fabrication Equipment

TEL, ACT8, 200mm, S/N 9101151:

TEL, ACT8, 200mm, S/N 9101151

1 Inquire Singapore, Singapore
247197
Tel  

Tel  

LITHIUS PRO V 

List all items of this typeManual Photoresist Coaters

in Wafer Fabrication Equipment

TEL, LITHIUS PRO V, 300mm, sn: V110263, HM05:

TEL, LITHIUS PRO V, 300mm, sn: V110263, Immersion Coater/Dev

1 Inquire East Fishkill, New York, United States
247606
Tel  

Tel  

LITHIUS 

List all items of this typeManual Photoresist Coaters

in Wafer Fabrication Equipment

TEL, LITHIUS, 300mm, sn: MDG350168:

2-Block-Machine

1 Inquire Dresden, Saxony, Germany
245289
Tokyo Electron Limit  

Tokyo Electron Limit  

8181523 

List all items of this typeManual Photoresist Coaters

in Wafer Fabrication Equipment

TEL, Mark 8, 200mm, S/N 8181523:

TEL, Mark 8, 200mm, S/N 8181523

SOG Coater. 2 coaters only.

1 Inquire Singapore, Singapore
245287
Tokyo Electron Limit  

Tokyo Electron Limit  

TE8500 

List all items of this typePlasma Processing Equipment and Tools - Other

in Wafer Fabrication Equipment

TEL, TE8500, 200mm. S/N K85500:

TEL, TE8500, 200mm. S/N K85500

1 Inquire Singapore, Singapore
246567
Ultratech Inc  

Ultratech Inc  

LSA100A 

List all items of this typeSingle Chamber RTP Tools

in Wafer Fabrication Equipment

ULTRATECH LSA100A, s/n: 6114, Laser Spike Anneal:

Laser Spike Anneal

1 Inquire Dresden, Saxony, Germany


*   Vendor Role: Mfr is Manufacturer; Sup is Supplier/Distributor; OEM is Original Equipment Manufacturer

NOTE:
   photo available
   reference document attached
  F* if the item is specially featured
  N* if the item is newly added, and/or
  R* if the item's price is recently reduced.